000 04206nam a22006135i 4500
001 978-3-319-49025-0
003 DE-He213
005 20220801221751.0
007 cr nn 008mamaa
008 170102s2017 sz | s |||| 0|eng d
020 _a9783319490250
_9978-3-319-49025-0
024 7 _a10.1007/978-3-319-49025-0
_2doi
050 4 _aTK7867-7867.5
072 7 _aTJFC
_2bicssc
072 7 _aTEC008010
_2bisacsh
072 7 _aTJFC
_2thema
082 0 4 _a621.3815
_223
245 1 0 _aHardware IP Security and Trust
_h[electronic resource] /
_cedited by Prabhat Mishra, Swarup Bhunia, Mark Tehranipoor.
250 _a1st ed. 2017.
264 1 _aCham :
_bSpringer International Publishing :
_bImprint: Springer,
_c2017.
300 _aXII, 353 p. 131 illus., 78 illus. in color.
_bonline resource.
336 _atext
_btxt
_2rdacontent
337 _acomputer
_bc
_2rdamedia
338 _aonline resource
_bcr
_2rdacarrier
347 _atext file
_bPDF
_2rda
505 0 _aPart I. Introduction -- Chapter 1.Security and Trust Vulnerabilities in Third-party IPs -- PArt II.Trust Analysis -- Chapter 2.Security Rule Check -- Chapter 3.Digital Circuit Vulnerabilities to Hardware Trojans -- Chapter 4.Code Coverage Analysis for IP Trust Verification -- Chapter 5.Analyzing Circuit Layout to Probing Attack -- Chapter 6.Testing of Side Channel Leakage of Cryptographic IPs: Metrics and Evaluations -- Part III -- Effective Countermeasures -- Chapter 7.Hardware Hardening Approaches using Camouflaging, Encryption and Obfuscation -- Chapter 8.A Novel Mutating Runtime Architecture for Embedding Multiple Countermeasures Against Passive Side Channel Attacks -- Part IV -- Chapter 9.Validation of IP Security and Trust -- Chapter 10.IP Trust Validation using Proof-carrying Hardware -- Chapter 11. Hardware Trust Verification -- Chapter 12.Verification of Unspecified IP Functionality -- Chapter 13.Verifying Security Properties in Modern SoCs using Instruction-level Abstractions -- Chapter 14. Test Generation for Detection of Malicious Parametric Variations -- Part V. Conclusions -- Chapter 15.The Future of Trustworthy SoC Design.
520 _aThis book provides an overview of current Intellectual Property (IP) based System-on-Chip (SoC) design methodology and highlights how security of IP can be compromised at various stages in the overall SoC design-fabrication-deployment cycle. Readers will gain a comprehensive understanding of the security vulnerabilities of different types of IPs. This book would enable readers to overcome these vulnerabilities through an efficient combination of proactive countermeasures and design-for-security solutions, as well as a wide variety of IP security and trust assessment and validation techniques. This book serves as a single-source of reference for system designers and practitioners for designing secure, reliable and trustworthy SoCs.
650 0 _aElectronic circuits.
_919581
650 0 _aCryptography.
_91973
650 0 _aData encryption (Computer science).
_99168
650 0 _aData protection.
_97245
650 0 _aElectronics.
_93425
650 0 _aMicroprocessors.
_957866
650 0 _aComputer architecture.
_93513
650 1 4 _aElectronic Circuits and Systems.
_957867
650 2 4 _aCryptology.
_931769
650 2 4 _aData and Information Security.
_931990
650 2 4 _aElectronics and Microelectronics, Instrumentation.
_932249
650 2 4 _aProcessor Architectures.
_957868
700 1 _aMishra, Prabhat.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
_957869
700 1 _aBhunia, Swarup.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
_957870
700 1 _aTehranipoor, Mark.
_eeditor.
_4edt
_4http://id.loc.gov/vocabulary/relators/edt
_957871
710 2 _aSpringerLink (Online service)
_957872
773 0 _tSpringer Nature eBook
776 0 8 _iPrinted edition:
_z9783319490243
776 0 8 _iPrinted edition:
_z9783319490267
776 0 8 _iPrinted edition:
_z9783319840703
856 4 0 _uhttps://doi.org/10.1007/978-3-319-49025-0
912 _aZDB-2-ENG
912 _aZDB-2-SXE
942 _cEBK
999 _c80029
_d80029